News TSMC says it doesn't need High-NA EUV chipmaking tools for 1.6nm-class node — in contrast, Intel has championed the tech

This is basically the best opportunity Intel has to regain market leadership on process node. BSP was originally supposed to land with N2, but is now A16 which means TSMC should be competing with Intel's refined 18A nodes and 14A. We need there to be volume competition on the leading edge nodes so some of those margins can be cut (not that I think the savings will make their way to consumers, but maybe prevent/slow additional price hikes).
 
  • Like
Reactions: Order 66
Apr 25, 2024
10
3
15
This is basically the best opportunity Intel has to regain market leadership on process node. BSP was originally supposed to land with N2, but is now A16 which means TSMC should be competing with Intel's refined 18A nodes and 14A. We need there to be volume competition on the leading edge nodes so some of those margins can be cut (not that I think the savings will make their way to consumers, but maybe prevent/slow additional price hikes).
i dont think so, Hight-NA is just an optical (lens) optimization for EUV, but not even close as a game changer as DUV to EUV, also there are other reasons why Intel is rushing to High-NA, the main being their very low count of current EUV machines unlike TSMC which has the biggest amount by far, Intel discarded EUV and tried to force DUV to its very limits and failed, i dont think TSMC will make the same mistake, but High-NA is not the same nor will bring massive tech improvements, its a no brainer for Intel, as they have to build up their EUV lines no matter what, so they take the latest EUV machine.
 
  • Like
Reactions: dalek1234
Apr 25, 2024
10
3
15
I think in a few years time, the industry might focus more on silicon alternatives for higher performance.
we are getting to the physics wall with current methods.. the next big things are packaging and interconnects/stitching methods of several dies to be seen as one (NV B200). Until a new groundbreaking method/materials are discovered and then onto the PicoM race we go.
 
i dont think so, Hight-NA is just an optical (lens) optimization for EUV, but not even close as a game changer as DUV to EUV, also there are other reasons why Intel is rushing to High-NA, the main being their very low count of current EUV machines unlike TSMC which has the biggest amount by far, Intel discarded EUV and tried to force DUV to its very limits and failed, i dont think TSMC will make the same mistake, but High-NA is not the same nor will bring massive tech improvements, its a no brainer for Intel, as they have to build up their EUV lines no matter what, so they take the latest EUV machine.
I'm not sure why you quoted me and went on a rambling statement about High-NA. I didn't talk about High-NA at all and wasn't even remotely referring to it. Intel is bringing GAA and BSPDN to their nodes before TSMC which gives them an advantage on implementation and in turn an opportunity to get ahead in process node.

The advantage High-NA brings is more of a long term situation than anything else. Given the very low volume of machines being manufactured it makes sense TSMC is waiting. Only time will tell whether or not that was the correct gamble to take.
 
Last edited:
Apr 25, 2024
10
3
15
I'm not sure why you quoted me and went on a rambling statement about High-NA. I didn't talk about High-NA at all and wasn't even remotely referring to it. Intel is bringing GAA and BSPDN to their nodes before TSMC which gives them an advantage on implementation and in turn an opportunity to get ahead in process node.

The advantage High-NA brings is more of a long term situation than anything else. Given the very low volume of machines being manufactured it makes sense TSMC is waiting. Only time will tell whether or not that was the correct gamble to take.
maybe because the OG Article is about TSMC not rushing to Hi-NA?, hence the "rambling" about it, also that in the article and your post both "expect" same opportunities from this for Intel, so, i just gave my "personal" opinion on the matter using yours as a debatable point.
If based on transistor tech only then maybe Intel can tie to TSMC again, but with how IFS has been going lately its very doubtful, 5 nodes in 2 years ended being 2 nodes in 5 years IRL, so theres no real fact to back Intel claims of delivering on their roadmaps or their tech anymore (at least for mass production usage).
Also TSMC has always took things slow and steady.
 
maybe because the OG Article is about TSMC not rushing to Hi-NA?, hence the "rambling" about it, also that in the article and your post both "expect" same opportunities from this for Intel, so, i just gave my "personal" opinion on the matter using yours as a debatable point.
Ah so you just misunderstood what I was talking about entirely because of the article. I was referring to the process node technology delays not High-NA specifically. High-NA doesn't enable those technologies for Intel as they're not utilizing it for a production node until 14A. TSMC will be competing with mature BSPDN and High-NA with their A16 node because they delayed their BSPDN. It's an important distinction.
If based on transistor tech only then maybe Intel can tie to TSMC again, but with how IFS has been going lately its very doubtful, 5 nodes in 2 years ended being 2 nodes in 5 years IRL, so theres no real fact to back Intel claims of delivering on their roadmaps or their tech anymore (at least for mass production usage).
It's a 5 nodes in 4 years plan and their counting started with Intel 7 in 2021. They're currently shipping 2 with the third in mass production so we'll see if that slips or not though. They claimed earlier this year it was still on track which would mean 18A in mass production next year.