News Intel: 4nm, 3nm-Class Nodes on Track, 1.8nm Technology Pulled in

PlaneInTheSky

Commendable
BANNED
Oct 3, 2022
556
759
1,760
Playing catch up with TSMC and Samsung is important, but to return its process technology leadership, Intel will have to leapfrog both of its rivals.

No one is going to leapfrog anyone. Those 4nm-2nm generation chips are pretty much at the end of the line of what we can get out of silicon.

You could argue we have already hit the wall with silicon. Power consumption and cost is through the roof. Unsustainable.

Any speed increase after that will need to come from a radically different thought process, and x86 will not survive that. Photonics, completely different packaging, etc.
 
Dec 6, 2022
2
3
15
No one is going to leapfrog anyone

I think you will find that without ASML, neither company can make those smaller chips. They both completely rely on another company's product from the Netherlands. One we are desperately trying to keep out of the hands of China. So yes, I would agree there is no leap frogging that is going to happen. The race has a governor
 

jkflipflop98

Distinguished
No one is going to leapfrog anyone. Those 4nm-2nm generation chips are pretty much at the end of the line of what we can get out of silicon.

You could argue we have already hit the wall with silicon. Power consumption and cost is through the roof. Unsustainable.

I distinctly remember everyone saying the same thing about 130nm. "You can't go any smaller! It's smaller than light! Impossible!"
 

kjfatl

Reputable
Apr 15, 2020
181
130
4,760
Moving at this pace especially after 10 years being stuck on older process nodes is going to be a challenge for Intel. Switching to EUV opens up a world of opportunity for Intel's process engineers along with an overwhelming amount of work.
 
They have a fab full of EUV machines which have been operational for at least 2 years based on public knowledge and the same fab has the first High-NA machine being installed. All of the advances happening so quickly are clearly because of the lessons they learned trying to do too much with 10nm and the huge advantage of EUV.
 
You could argue we have already hit the wall with silicon. Power consumption and cost is through the roof. Unsustainable.
You are reading too many clickbait articles.... and believing them.
Power draw is lower than ever, you have to go into server/threadripper territory now to get the same power consumption we had on desktop CPUs 15 years ago.

The issue is that core count is higher than ever and everybody seems to believe that you have to run an 8-12-16 + core CPU at the same speeds you would run a single core.

https://www.pcstats.com/articles/2097/3.html

5ygVt65.jpg

index.php

index.php
 

jp7189

Distinguished
Feb 21, 2012
332
189
18,860
I think you will find that without ASML, neither company can make those smaller chips. They both completely rely on another company's product from the Netherlands. One we are desperately trying to keep out of the hands of China. So yes, I would agree there is no leap frogging that is going to happen. The race has a governor
I remember reading elsewhere that Intel paid for exclusive first access to high-NA machines from ASML. That should give them an advantage for at least a short time.
 

Nspace

Distinguished
Jun 6, 2009
12
1
18,515
They have a fab full of EUV machines which have been operational for at least 2 years based on public knowledge and the same fab has the first High-NA machine being installed. All of the advances happening so quickly are clearly because of the lessons they learned trying to do too much with 10nm and the huge advantage of EUV.
Still, Intel's experience is quite small to accomplish the advance they declare to be doing in so short while.
Let's see, Intel claims to be working in fully fledged production at their N4 process technology (7nm EVU).
We'll see how fast they accomplish their laptop and server CPUs that start being released (in a few weeks?) and should be fully deployed by 2023 Q1...

And then according to this article INTEL claims that "its process technology will already be joined by its Intel 3 fabrication node (3nm-class) in 2023 ~ 2024. This process will be manufacturing-ready in the second half of 2023".
Actually stating to be able to jump from 7nm to 3nm just like that! And then to do so in mass production lines.

It would be great if they can make it. Very unlikely if we see their trajectory so far.
 
Last edited:

spongiemaster

Admirable
Dec 12, 2019
2,276
1,280
7,560
And then according to this article INTEL claims that "its process technology will already be joined by its Intel 3 fabrication node (3nm-class) in 2023 ~ 2024. This process will be manufacturing-ready in the second half of 2023".
Actually stating to be able to jump from 7nm to 3nm just like that! And then to do so in mass production lines.
Intel renamed their nodes to better align with the competition. Intel 3 is what Intel would have called 5nm before the renaming. Intel's 5nm is comparable to TSMC's 3nm, that's why Intel renamed it Intel 3 and why it is called 3nm-class.
 

JayNor

Reputable
May 31, 2019
429
86
4,760
Intel is already delivering Ponte Vecchio chips using TSM N5 EUV.

AMD only used N5 EUV on the recently launched zen4 CPUs.

I fail to see how Intel is disadvantaged by TSM offering N5 EUV. Intel has access to the same technology as their competitors.
 
  • Like
Reactions: jkflipflop98