Intel's Future Chips: News, Rumours & Reviews

Page 78 - Seeking answers? Join the Tom's Hardware community: where nearly two million members share solutions and discuss the latest tech.
Status
Not open for further replies.

goldstone77

Distinguished
Aug 22, 2012
2,245
14
19,965
Does anyone think Intel isn't sitting on new designs many generations ahead? They have always touted planning 3 generations ahead of their current release. One SKU is nothing when they are turning out multiple SKU's at the rate they are! They can easily rebrand a CPU and send it out. They pushed out skylake-X ahead of schedule. They just spent over 100 million on 3 more ASML machines a few month ago. If you doubt any of this just watch how aggressive Intel is going to get! Now that AMD has brought competition back mark the release dates of Intel's product lines on a calendar and see how fast they turn out product then we will talk about how long it takes to bring a product line for Intel to market!
 

goldstone77

Distinguished
Aug 22, 2012
2,245
14
19,965


Carbon is in the lead atm.
 


You really think that Intel would willingly lose an advantage they have had in process technology? That's a pretty absurd claim. That has always been their one advantage. At one time they were 2 process nodes ahead of the closest company and they have added tech before others by many years as well.

It is more likely that it is getting harder and harder. Look at 14nm. Intel has the best 14nm out there. Most others are closer to 16nm or 18nm. Even Samsungs 10nm is not even a true 10nm and will be beat out easily by Intels 10nm in specs.

And no it is not 18 months to bring a new product. And by new I mean as in it was never planned originally, not something they have been working on. Of course Intel can easily bring a product to the market if it is one they have planned out but the time it takes to plan and develop an entire platform is way more than 18 months.

As for competition, it is good. However I don't know how long it will remain good. AMD is planning on 7nm for 2018/2019 but I will believe it when I see it. I don't see GF getting to 7nm that fast and before anyone else especially since they are foregoing using whatever Samsung comes up with and doing it on their own. That said, until 2020 it is all Zen. No new uArch. Just process shrinks and improvements.

Guess we shall see but again Intels main change due to Ryzen will be based on pricing more than anything. If you look at an all around platform Intel does have more features currently and will probably have more in the future since they tend to adopt them sooner than AMD.
 

goldstone77

Distinguished
Aug 22, 2012
2,245
14
19,965


Intel has always enjoyed the best process(smaller), and I don't think they wanted to lose the process node war. I do think they were blind sided by Ryzen uArch. And it's absolutely getting harder(5nm being the limit to silicon) to shrink the process, and much more expensive. Intel has to do the R&D for both sides of the coin and fabrication is extremely expensive for Intel. And we will see in the coming months and years how Intel responds. Judging by their past, and the recent slide controversy they are prepared to fight dirty! Intel's 10nm(9.5nm) will end up go up directly against a Ryzen 7nm(9.2nm), and I absolutely believe Intel has challenges. And I think Ryzen has a good chance to run the show for a couple years, or longer depending on Intel's fab. But it's not like they can't plan for a node in advance to large degree. Pumping out 58 SKU's is a good example at their ability of plan design's. GlobalFoundries is set to go into full production the 2nd half of 2018, and this is Ryzen's chance to win big. When you consider what Ryzen can do at 14nm(17nm) vs. Intels 14nm(13nm) with power consumption and performance it's not hard to imagne what is going to happen when Ryzen cuts that node almost in half at 7nm(9.2nm). In fact that is what has excited me about technology again. That and the evolution of AR glasses. I've been watching this come to fruition for the last year and a half now. I don't play favorites, but like most people who like history the war is exciting.

GlobalFoundries Details 7 nm Plans: Three Generations, 700 mm², HVM in 2018
by Anton Shilov on June 23, 2017 12:00 PM EST
"The company’s 7 nm fabrication process is projected to bring over a 40% frequency potential over the 14LPP manufacturing technology that GlobalFoundries uses today, assuming the same transistor count and power. The tech will also reduce the power consumption of ICs by 60% at the same frequency and complexity."
http://www.anandtech.com/show/11558/globalfoundries-details-7-nm-plans-three-generations-700-mm-hvm-in-2018

 

juanrga

Distinguished
BANNED
Mar 19, 2013
5,278
0
17,790


Globalfoundries 7nm is similar to TSMC 10nm and both are inferior to Intel 10nm.

A true 7nm shrink would bring 4x more density than 14LPP, but their "7nm" is only bringing twice the density, because Globalfoundries is playing with the labels again, as they did when labeled as "14nm" a node is not 14nm.
 

goldstone77

Distinguished
Aug 22, 2012
2,245
14
19,965


They all do that Juanrga, and I did state the actual measurement. Intel, lies about it too when they actually shrink smaller than advertised to give a false since of how much better their 14nm is when it's really 13nm. Just like I stated above Intel's 13nm is going against AMD's 17nm right now, and Ryzen is showing impressive power consumption to performance compared to Intel with it's inferior process.
 


I assume AMDs power numbers might be due to the fact that it is using a low power 14nm, it was designed by Samsung for their mobile chips. It is also why AMD can't clock much higher than their turbo boosts.

However there is nothing saying GFs 7nm will actually be a good process. There is the chance that it flops much like the 20nm flopped for GPUs.
 

goldstone77

Distinguished
Aug 22, 2012
2,245
14
19,965


I wouldn't bet on a flop the node market is getting extremely competitive, and AMD has made sure they can hit up different foundries as per their contract!

AMD is rumoured to be fabricating Ryzen CPUs with both Glofo and Samsung
Published: 31st December 2016 | Source: Bits and Chips | Author: Mark Campbell
"Samsung and Globalfoundries utilise very similar processing technologies for 14nm, with Globalfoundries licensing Samsung's 14nm technology. This means that products that are produced with Globalfoundries, allowing designs to be easily transferred between both foundries if required.

AMD has already confirmed that they are able to produce their silicon products at Samsung if required and has recently updated their supply agreement with Globalfoundries to give them more flexibility when it comes to using other Foundry partners.

This all points to a situation where AMD will be manufacturing their products with both Globalfoundries and Samsung, leaving many to question which foundry is better."

https://www.overclock3d.net/news/cpu_mainboard/amd_is_rumoured_to_be_fabricating_ryzen_cpus_with_both_globalfoundries_and_samsung/1

AMD to Continue Working With TSMC, GLOBALFOUNDRIES on 7 nm Ryzen
by Raevenlord Tuesday, May 16th 2017 19:59
"As it is, AMD has to pay GLOBALFOUNDRIES for its wafer orders that go to other silicon producers (in this case, TSMC), in a quarterly basis since the beginning of 2017, based on the volume of certain wafers purchased from another wafer foundry. In addition, AMD has annual wafer purchase targets from 2016 through the end of 2020, fixed wafer prices for 2016, and a framework for yearly wafer pricing in this amendment, so the company is still bleeding money to GLOBALFOUNDRIES."
https://www.techpowerup.com/233389/amd-to-continue-working-with-tsmc-globalfoundries-on-7-nm-ryzen

Globalfoundries On A Roll With 7nm And 5nm Announcements
JUN 13, 2017 @ 08:00 AM 12,412
"Recently, the fab wars started to heat up between the world’s leading chip manufacturers namely, Globalfoundries, Intel, Samsung and TSMC. Globalfoundries has been making a lot of major announcements including their 22FDX expansion in China in conjunction with the Chengdu government. However, the Chengdu partnership doesn’t really cover leading edge nodes, but more mainstream nodes that are optimized for cost and flexibility at a specific performance and power level.

Hot on the heels of the 5nm announcement in partnership with IBM Research and Samsung, Globalfoundries is making two announcements around its 7nm manufacturing process. While the 5nm process is still in the test phases, Globalfoundries 7nm is much further along. In fact, today, Globalfoundries is announcing that their 7LP (Leading-Performance) process and FX-7 ASIC platform are available today for partners to start planning to utilize with design kits.

Geometry important but not everything

One thing I must mention first- while geometry is still important, it is but only one of many factors in determining a quality fab technology. In the end, what matters is hitting the desired power and performance metrics at the lowest possible cost, regardless if it’s a leading node or not. In addition to geometry, people should also consider die per wafer, die area scaling (i.e. fin pitch, gate pitch, interconnect pitch, cache cell size), transistor performance and leakage, logic area scaling, cost per transistor, and yield, measured by good die per wafer.

7nm, 7LP (Leading Performance) FinFET

Globalfoundries 7nm LP FinFET process could prove to be beneficial for some of Globalfoundries biggest customers like AMD who need the highest performance and density logic and cache memories. Advanced Micro Devices is currently using Globalfoundries 14nm FinFET process to build their new and impressive Ryzen CPUs and APUs. As AMD starts to deliver high performance CPUs and GPUs on a single chip they will need to have as much die area to work with and as low power as they could possibly get. 7nm FinFET would help companies like AMD continue to compete and offer the cutting-edge products that their customers are already starting to expect from them.

The 7LP process is a continuation of Globalfoundries low power work in previous nodes like 14nm with a focus on cost and power. However, with the introduction of 7LP, Globalfoundries is touting a 40% device performance improvement over 14nm while also talking about reducing power by up to 60%.

Globalfoundries 7LP process uses optical lithography, but is EUV “compatible” if a customer’s designs require it for one reason or another. Globalfoundries also claims an over 30% reduction in die cost with an over 45% reduction in target segments. 7LP is still a 7nm FinFET technology, with an expectation that the company will commercialize it in 2018, which isn’t far off, likely thanks to the strong early customer engagement they’ve seen on it. This process really has potential to steal lower power SoC business from Globalfoundries competitors like TSMC that are also vying for leading-edge node business."
https://www.forbes.com/sites/patrickmoorhead/2017/06/13/globalfoundries-on-a-roll-with-7nm-and-5nm-announcements/#6ce29f234730
Report: Samsung To Focus On Its 6-Nanometer Process Node
June 27, 2017 - Written By Mark Real
"A new report from the South Korean publication The Investor is claiming that Samsung’s foundry division will likely reduce its investments on the 7-nanometer process node and instead focus on its 6-nanometer process technology. The publication added that the mass production of chipsets from the 6-nanometer process node will begin by 2019. This move comes after Samsung’s foundry lost a major customer, Qualcomm, to its biggest rival TSMC."
https://www.androidheadlines.com/2017/06/report-samsung-focus-6-nanometer-process-node.html
 

goldstone77

Distinguished
Aug 22, 2012
2,245
14
19,965
Ryzen right out of Gordon's own playbook!
Cramming more components
onto integrated circuits
Gordon Moore
Electronics, Volume 38, Number 8, April 19, 1965

Day of reckoning
"Clearly, we will be able to build such component crammed
equipment. Next, we ask under what circumstances
we should do it. The total cost of making a particular system
function must be minimized. To do so, we could amortize
the engineering over several identical items, or evolve flexible
techniques for the engineering of large functions so that
no disproportionate expense need be borne by a particular
array. Perhaps newly devised design automation procedures
could translate from logic diagram to technological realization
without any special engineering.
It may prove to be more economical to build large systems out of smaller functions, which are separately packaged
and interconnected. The availability of large functions,
combined with functional design and construction, should
allow the manufacturer of large systems to design and construct
a considerable variety of equipment both rapidly and
economically.
"
https://drive.google.com/file/d/0By83v5TWkGjvQkpBcXJKT1I1TTA/view
 

goldstone77

Distinguished
Aug 22, 2012
2,245
14
19,965
Future R&D Plans
28v53jq.png

http://www.tsmc.com/english/dedicatedFoundry/technology/future_rd.htm

7nm Technology
"TSMC's 7nm Fin Field-Effect Transistor (FinFET) process technology provides the industry's most competitive logic density and sets the industry pace for 7nm process technology development by delivering 256Mb SRAM with double-digit yields in June 2016. Risk production started in April 2017.

We expect double digit customer product tape-out in 2017.

Compared to its 10nm FinFET process, TSMC's 7nm FinFET features 1.6X logic density, ~20% speed improvement, and ~40% power reduction. TSMC set another industry record by launching two separate 7nm FinFET tracks: one optimized for mobile applications, the other for high performance computing applications."
http://www.tsmc.com/english/dedicatedFoundry/technology/7nm.htm

5nm Technology
"TSMC's 5nm Fin Field-Effect Transistor (FinFET) process technology is optimized for both mobile and high performance computing applications. It is scheduled to start risk production in the second quarter of 2019. Compared to its 7nm FinFET Plus process, TSMC's 5nm FinFET adopts EUV Lithography for more critical layers to reduce multi-pattern process complexity while achieving aggressive die area scaling. "
http://www.tsmc.com/english/dedicatedFoundry/technology/5nm.htm
 

jaymc

Distinguished
Dec 7, 2007
614
9
18,985
Some great stuff there has to be said fair play, very informative.. Looks like AMD could really take the lead with Glofo's 7nm node. An they seem to be on schedule as well, I was afraid it would be delayed for years..

It certainly looks like they are just about ready to go with it.. this is huge !
If they execute on time it's going to have a major impact on the landscape. Looks like Intel is really in big trouble here guy's...

It seem's like we could be looking at a future where AMD is a better chip manufacturer than Intel...there I've said it !
An it looks more than just possible right now, it is actually starting to look quite likely to happen..

Unless glofo's 7nm get's some major delays (which certainly doesn't appear to be the case) I really don't see anything Intel can do to stop it from happening either.. least that's how it's looking right now anyway.
 

goldstone77

Distinguished
Aug 22, 2012
2,245
14
19,965


Even if for some reason they don't they have the option under their contract to go with one of the other foundries.
 

goldstone77

Distinguished
Aug 22, 2012
2,245
14
19,965
The Truth Behind Intel's Manufacturing Lead
Feb. 23, 2017 5:40 PM ET
"In a bid to simplify this confusing scenario, ASML, which is the world's largest supplier of photolithography equipment for semiconductor manufacturers, has reportedly come up with a formula to normalize nodes based on their effective feature sizes. Granted that the formula is far from perfect and doesn't factor in other features such as SRAM cell sizes, but it's currently the best resource available to us to separate reality from marketing FUD."
https://seekingalpha.com/article/4049095-truth-behind-intels-manufacturing-lead
v8ouw6.png

https://www.icknowledge.com/news/Technology%20and%20Cost%20Trends%20at%20Advanced%20Nodes%20-%20Revised.pdf
 

goldstone77

Distinguished
Aug 22, 2012
2,245
14
19,965
15 Views from a Silicon Summit
A decoder ring for process node names
Rick Merritt
1/16/2017 00:22 AM EST
34j3cpv.png

"The names of process nodes have become marketing tools that no longer refer to any physical dimension in chips, said Jones. He created the chart (above) to show his view of how the rival processes compare using traditional metrics.
Rumors are that Samsung’s 10-nm yields are low and TSMC’s 10-nm node beats it in density, Jones said. However, Intel’s 10-nm node is the densest of the group with yields rising significantly and the process being transferred to fabs in Israel.
TSMC will ramp its 7-nm node this year using similar pitches as in Intel’s 10-nm process. GlobalFoundries’ 7-nm node will come on in 2018 with tighter pitches and a 30% reduction in die cost, he said."
http://www.eetimes.com/document.asp?doc_id=1331185&page_number=2

Edit: If you read the entire article it goes into detail about cost, growth, and what factors are driving the chip business. And just a side note I try to make arguments based on factual information that have a point of reference, and not he said she said type of augments. I don't attempt to cherry pick comments that are out of context just to win a point I'm trying to make, and I like to post links to relevant supporting information. I do all this to increase my knowledge base, and everyone else's as well. It from this exchange of information that we can all grow, and add to the conversations.
 

juanrga

Distinguished
BANNED
Mar 19, 2013
5,278
0
17,790


(i)
One thing is to have a real 16nm node and label it as "14nm", or have a 12nm node and label it "10nm"; another very different thing is having a real 14nm node and label it as "7nm", as Glofo does. There is a ~4x gap between the density of Glofo "7nm" and a real 7nm node.

(ii)
RyZen is not showing impressive efficiency compared to Intel. Most sites tested both with Prime95, which works as a power virus for Intel chips but not for RyZen. RyZen is consuming similar amount of power on Prime95 than in ordinary workloads as Luxmark or Excel.

When power consumption and efficiency are tested in ordinary workloads as x264, RyZen loses. The 1800X is less efficient than 8-core and 10-core Broadwell-E and Skylake-X.

Also RyZen loses in efficiency despite it is using a 14LPP process which is optimized for efficiency, whereas Intel is using a node optimized for performance on those chips. Intel has two 14nm processes, P1272 and P1273, one for performance and other for efficiency, and the desktop chips aren't using the one for efficiency.
 

juanrga

Distinguished
BANNED
Mar 19, 2013
5,278
0
17,790


Claims based in the ASML formula, which has been criticized by other people before. I copy and paste from elsewhere:

What's wrong with it? First, the dates. If a node is released at the end of the year, it looks much better, etc. So it should be more precise with a year divded in quarters. But, even then, the dates are just forecasts. They could and probably will change in one or another direction. And bseides, in an article one should always produce graphs from tables. Tables are raw data. But anyway..

But even if you ignore the table that is divded in years, the thing that is wrong most of all with it is the formula.

Standard Node = 0.14 x (CPHP x MMHP)^0.67

I will not go into all the mathematical details, but the formula is really, really, really wrong in a very big way. The thing that messes it all up is the ^0.67 power. The power should have been 0.707, that is the only correct value (1/1sqrt(2)). So what will happen is that this formula will make differences look bigger because 0.67² is 0.45, which is not the correct 0.5 scaling.

But using a flawed formula is not even everything, the data (for the 10nm and 7nm and 5nm nodes because those have not been published) that was put in is also dead wrong and completely fictitious.
 

goldstone77

Distinguished
Aug 22, 2012
2,245
14
19,965


Your claims about Ryzen power consumption are completely wrong according to tomshardware.com with the exception of idle on Skylake-X. This has already been talked about in great detail...
aHR0cDovL21lZGlhLmJlc3RvZm1pY3JvLmNvbS9NL0QvNjYxNzY1L29yaWdpbmFsL0ltYWdlOS5wbmc=

aHR0cDovL21lZGlhLmJlc3RvZm1pY3JvLmNvbS9NL0EvNjYxNzYyL29yaWdpbmFsL0ltYWdlOC5wbmc=

aHR0cDovL21lZGlhLmJlc3RvZm1pY3JvLmNvbS9NLzkvNjYxNzYxL29yaWdpbmFsL0ltYWdlNy5wbmc=

aHR0cDovL21lZGlhLmJlc3RvZm1pY3JvLmNvbS9NL0UvNjYxNzY2L29yaWdpbmFsL0ltYWdlMTIucG5n

aHR0cDovL21lZGlhLmJlc3RvZm1pY3JvLmNvbS9NL0IvNjYxNzYzL29yaWdpbmFsL0ltYWdlMTEucG5n

aHR0cDovL21lZGlhLmJlc3RvZm1pY3JvLmNvbS9NL0MvNjYxNzY0L29yaWdpbmFsL0ltYWdlMTAucG5n

I already talked about this in the Ryzen thread
http://www.tomshardware.com/forum/id-3327589/amd-ryzen-megathread-faq-resources/page-39.html#19872880

aHR0cDovL21lZGlhLmJlc3RvZm1pY3JvLmNvbS9JL08vNjg0OTYwL29yaWdpbmFsLzAxLVBvd2VyLUNvbnN1bXB0aW9uLUlkbGUucG5n

aHR0cDovL21lZGlhLmJlc3RvZm1pY3JvLmNvbS9JL04vNjg0OTU5L29yaWdpbmFsLzAyLVBvd2VyLUNvbnN1bXB0aW9uLUxpZ2h0LVdvcmtsb2FkLnBuZw==

aHR0cDovL21lZGlhLmJlc3RvZm1pY3JvLmNvbS9JL1AvNjg0OTYxL29yaWdpbmFsLzAzLVBvd2VyLUNvbnN1bXB0aW9uLUdhbWluZy5wbmc=

aHR0cDovL21lZGlhLmJlc3RvZm1pY3JvLmNvbS9JL1EvNjg0OTYyL29yaWdpbmFsLzA0LVBvd2VyLUNvbnN1bXB0aW9uLVRvcnR1cmUucG5n


"Stable overclocking, defined as reliable operation under Prime95 for prolonged periods of time without hitting a temperature limit, wasn’t possible beyond 4.4 GHz. Reports of >5 GHz with all cores active should be taken with a grain of salt. We did boot into Windows at 5.1 GHz, but running actual applications resulted in either a BSOD or a motherboard emergency shutdown."
"As mentioned, we had to use Alphacool's Eiszeit Chiller 2000 to achieve usable overclocking results. More conventional thermal solutions just wouldn't cut it. All-in-ones like Corsair's H100i and Enermax's LiqTech 240 hit their limits at stock frequencies under Prime95. The custom loop threw in the towel at 4.6 GHz."
"The difference between the water cooling block's temperature, which is held at a constant 20°C, and the CPU temperature reported by Intel's sensors shows just how bad of a choice thermal paste really was.
We measured the CPU heat spreader’s temperature the same way we did when AMD launched Ryzen 7 1800X, by using a thin copper plate. The resulting curve shows very clearly that waste heat can't be dissipated quickly enough. A solution good enough for a thermal lightweight like Intel's Core i7-7700K just doesn’t work for Core i9-7900X."
"Everything could have been great, if it wasn't for the thermal paste between Intel's die and heat spreader. Admittedly, most workstation or semi-pro users won't overclock, cutting down on the number of customers affected by this problem. But we all know that affluent enthusiasts attracted to Skylake-X's balance between high frequencies and core counts will have to face a significant cooling challenge. Your choices come down to high-end all-in-one packages or a custom water-cooling loop. Air cooling is completely out of the question if you expect the -7900X to run comfortably under full load."
Conclusion
"Intel’s market dominance burdens the company with certain expectations when it launches new hardware. Naturally, we expect more performance. And although we're quick to deride incremental updates, forward progress is what we want to see. At no point is a step backward alright in our books, and we saw a handful of those in today's tests."

http://www.tomshardware.com/reviews/intel-core-i9-7900x-skylake-x,5092-10.html
 

juanrga

Distinguished
BANNED
Mar 19, 2013
5,278
0
17,790

I am talking about efficiency, not power consumption. If a chip consumes 50% more power than other but does 100% more work, then the chip is more efficient.

Moreover the power consumption figures that toms got for the Intel chips don't look correct (and disagree with finding with other reviews). In the first figure you can see the 6900k @3.8GHz consuming more power than the 6900k @3.2GHz. And they also had problems in the past with the 7700k:

Unfortunately, the luck of the draw worked against our German lab, and we received a sample on the lower end of the quality range.

This doesn’t affect the benchmark results at all. However, it does have an impact on the power consumption and cooling results, as well as how far this particular CPU sample can be overclocked.
 

goldstone77

Distinguished
Aug 22, 2012
2,245
14
19,965

87129.png

87127.png

87126.png


More than 50% power draw by Intel and less efficient despite the disparity in fab. When you design chips you will give up certain abilities in favor of other ones. But these clearly shows the power of the Ryzen uArch in power consumption and overall efficiency for what it was designed for.
 

jaymc

Distinguished
Dec 7, 2007
614
9
18,985


In point (i) you say that "another very different thing is having a real 14nm node and label it as "7nm", as Glofo does."

In all the slides that Goldstone has provided it clearly states that glofo's 7nm is actually a 9.2nm node...
What do you mean they are calling a 14nm node a 7nm node...? He clearly states over an over again that it's actually 9.2nm..
Where does it state that glofo's 7nm node is actually 14nm, how do you come to that conclusion ?

In fact there is a very helpful table provided stating every nodes "marketing name" and actual size.

And even Intel lies about the size in their "marketing names" theirs are actually smaller than advertised which misleads people into thinking that they are further ahead than they actually are performance wise..

 

goldstone77

Distinguished
Aug 22, 2012
2,245
14
19,965

Conclusion
"Samsung and TSMC have taken the lead from Intel for process density with 10nm processes introduced this year. We expect that Intel will regain the lead in early 2017 with their 10nm process. We expect GF to then take the process density lead in early 2018 with their optical based 7nm process followed by Samsung taking the lead in late 2018 with their EUV based 7nm process."
https://www.semiwiki.com/forum/content/6498-2017-leading-edge-semiconductor-landscape.html

Edit:'The R2 value for the analysis is 0.9912 indicating an excellent fit and I will use this formula for the comparisons presented later in this article."
 
Status
Not open for further replies.