News Nvidia CEO Says Intel's Test Chip Results For Next-Gen Process Are Good

bit_user

Polypheme
Ambassador
Intel's upcoming server CPUs (Sierra Forest & Granite Rapids) will use the Intel 3 node, which I think should raise some questions about the amount of volume it would be able to supply to IFS customers, in 2024.

Also:

"a leading cloud, edge, and data center solutions provider as a leading-edge customer for Intel 3"
Could be ARM, if they're going to start making chiplets. Other possibilities: Microsoft, Google, perhaps Marvell or IBM. Even Qualcomm would fit, but that would be a stretch.
 

PlaneInTheSky

Commendable
BANNED
Oct 3, 2022
556
759
1,760
It's no wonder Nvidia is interested in Intel's foundry, TSMC has been raising prices non-stop.

People are complaining about GPU prices, but from all the reports I have read, TSMC is largely responsible for these price hikes, much more than Nvidia or AMD themselves.
 
  • Like
Reactions: Why_Me and bolweval

PlaneInTheSky

Commendable
BANNED
Oct 3, 2022
556
759
1,760
Interesting. Can you give some examples or sources?

TSMC has been aggressively raising prices on high-end nodes in the last 3 years. Far higher than inflationary pressure can possibly explain.

The reason these price hikes are so extremely aggressive on high-end nodes but less on low-end, is a reflection of TSMC competition on low-end nodes, but monopoly on high-end nodes

tfhyfhfhf.webp


Increased price of wafer has a large impact on the subsequent price of hardware products.

Smartphones-scenarios-of-IC-cost-increase-from-higher-wafer-prices-1.webp



By 2022, Apple (TSMC's biggest customer) actually rejected TSMC price hikes. But because TSMC has such a monopoly on high-end nodes, even Apple was forced to bend the knee for TSMC.

All these hardware companies like Apple, Nvidia, etc...would love nothing more than TSMC getting some competition.

795×264 jpg
39,1 kB

fyhjfjfj.jpg
 
Last edited:

PlaneInTheSky

Commendable
BANNED
Oct 3, 2022
556
759
1,760
For what it's worth, I believe the positive public statement from Nvida about Intel's foundry, is a shot across the bow towards TSMC and Samsung.

Imo, Nvidia is really saying this: "raise prices further at TSMC/Samsung, and our future chips will be made at Intel instead".

The fact Apple rejected TSMC prices, while not an openly public statement, Apple had no issues with this news going public.
 

JayNor

Reputable
May 31, 2019
426
85
4,760
I imagine TSM has to raise prices in anticipation of the EUV tool costs for capacity expansion. The next round of EUV tools are significantly over $340M, according to a comment from Intel CEO.

Last year those EUV tools were $200M, and the DUV tools of the prior gen were $40M to $60M
 

bit_user

Polypheme
Ambassador
I imagine TSM has to raise prices in anticipation of the EUV tool costs for capacity expansion.
Yes, if you can. Otherwise, you borrow the money to invest in new capacity.

Ultimately, it boils down to charging what the market will bear. If you do that and have enough money to fund future expansion, great. If you're still short, then you need to borrow the remainder.
 
People are complaining about GPU prices, but from all the reports I have read, TSMC is largely responsible for these price hikes, much more than Nvidia or AMD themselves.
yes they rose prices for wafers (as its mroe $ to do do them & they only have so many they can do)

but Nvidias main reason on rising gpu prices.

they want $100 for 8gb of vram on the 4060 ti's. (like 3-5x the cost of the stuff)

a 1080 ti cost u 700$
a 2080 ti cost you $1000
a 3080 ti cost you $1200 (and remember the 3000 series were Samsung not TSMC)
a 4080 costs $1200 (non ti 3080 cost you $700)

and then look at the 4060 ti....its a 50 tier priced as a 60 tier without performance of a 60 tier outside anything that doesnt use the dlss 3.0

yes, tsmc rising prices did make em cost mroe but Jensen's wannabe apple self is primary reason.
 

jkflipflop98

Distinguished
What's with the leather jacket all the time? Is Jensen cold or something?

This is a trait of a genius. They pick the one outfit that fits them, then they fill their entire wardrobe with that one outfit. It saves time and energy and you always look your best. Steve Jobs was famous for his "one outfit" as well. This is actually pretty common but it just so happens that a leather jacket sticks out so it's easily noticeable in Jensen's case.
 
It's no wonder Nvidia is interested in Intel's foundry, TSMC has been raising prices non-stop.

People are complaining about GPU prices, but from all the reports I have read, TSMC is largely responsible for these price hikes, much more than Nvidia or AMD themselves.
While the price hikes are real they have virtually nothing to do with the price of GPUs. Look at how significantly smaller every GPU is (aside from the 4090 even then though napkin math puts the per chip cost increase around $100 which is the price difference between the two) than their 30 series counterpart. TSMC charges by wafer not how many chips you get off of one so most if not all of the wafer cost increase is evened out by the amount of chips per wafer.

Intel's upcoming server CPUs (Sierra Forest & Granite Rapids) will use the Intel 3 node, which I think should raise some questions about the amount of volume it would be able to supply to IFS customers, in 2024.
Granite Rapids was originally supposed to be on Intel 4 which I think speaks to two things: Intel 3 didn't have many speed bumps, and Intel 4 is likely to be as short lived as the BDW 14nm process was.

Given Intel's large amount of available capacity I'd say their ability to deliver to third party IFS customers will be largely predicated on ASML and being able to shift fabs off of Intel 7. At the same time though the really big potential customers all seem to be looking at the 20A/18A node which could definitely be based on Intel's projected capacity, or lack thereof.
Even Qualcomm would fit, but that would be a stretch.
Qualcomm was one of the only deals Intel gave any specifics on and they're looking at 20A so I'd be very surprised.
 

Gescom

Reputable
Jun 4, 2019
7
0
4,510
It's no wonder Nvidia is interested in Intel's foundry, TSMC has been raising prices non-stop.

People are complaining about GPU prices, but from all the reports I have read, TSMC is largely responsible for these price hikes, much more than Nvidia or AMD themselves.
Tsmc new nodes are more expensive but not in terms of price per density. Sorry but tsmc is definitely NOT responsible for Nvidia's prices. Nvidia is.
 

Gescom

Reputable
Jun 4, 2019
7
0
4,510
TSMC has been aggressively raising prices on high-end nodes in the last 3 years. Far higher than inflationary pressure can possibly explain.

The reason these price hikes are so extremely aggressive on high-end nodes but less on low-end, is a reflection of TSMC competition on low-end nodes, but monopoly on high-end nodes

tfhyfhfhf.webp


Increased price of wafer has a large impact on the subsequent price of hardware products.

Smartphones-scenarios-of-IC-cost-increase-from-higher-wafer-prices-1.webp



By 2022, Apple (TSMC's biggest customer) actually rejected TSMC price hikes. But because TSMC has such a monopoly on high-end nodes, even Apple was forced to bend the knee for TSMC.

All these hardware companies like Apple, Nvidia, etc...would love nothing more than TSMC getting some competition.

795×264 jpg
39,1 kB

fyhjfjfj.jpg

Sorry but this is nowhere near Nvidia's "new" prices. Nowhere near.

Also tsmc price/density
For example, just compare the 10 nm wafer price of $5992 with the price of a 5 nm wafer which costs an amazing $16988. This is more than a 180% price increase in just three years, however, the cost per transistor is down as you get around 229% higher density in that period, making TSMC actually in line with Moore's Law. That is comparing Transistor density (MTr / mm²) of 52.51 million transistors for the 10 nm node and 173 million transistors per mm² of the 5 nm node.
 

bit_user

Polypheme
Ambassador
For example, just compare the 10 nm wafer price of $5992 with the price of a 5 nm wafer which costs an amazing $16988. This is more than a 180% price increase in just three years, however, the cost per transistor is down as you get around 229% higher density in that period,
Let's talk ratios, not increases. So, 5 nm prices are 283.5% as high as 10 nm. With density being 329.5% as high, then the cost per 5 nm transistor is 86.1% as high.

Next, let's look at the relative transistor counts for AD102 vs. GA102. That's 76.3 / 28.3 = 269.6% as many. So, with the per-Tr savings of 5nm, you'd expect the GPU chip in the RTX 4090 to cost 232.0% as much.

And that's probably an underestimate, since AD102 has so much more L2 cache, which we know is less dense than logic. I think it's misleading to compute this on the basis of per-transistor costs, when those have an in-built assumption about the ratio of different types of cells. It's better to simply compute them on an area-basis.

BTW, Nvidia didn't even use TSMC for GA102, so we really should be comparing Samsung 8 nm. And they didn't use TSMC N5 for AD102, but rather 4N (in lieu of any specifics, we can treat it as N5 but it's not).

Getting back to TSMC, it would be illuminating to compare the per-Tr cost reductions of 7nm -> 5nm with earlier node transitions. I'm sure you'll find their per-Tr costs reduced far less this time than in the past.
 

Gescom

Reputable
Jun 4, 2019
7
0
4,510
Yeah, but the main point of making a chip on a new node is so that it can use more transistors than you could on older nodes. Without that, speedups and functional improvements would be minimal.
Ok, in that case prices would stay the same which isn't the case at nvidia.