Samsung To Ship 14nm FinFET Chips For Apple, Qualcomm And AMD Late Next Year

Status
Not open for further replies.

bison88

Distinguished
May 24, 2009
618
0
18,980
Interesting! AMD and Nvidia will be using different manufacturer next year... I could tip the odds to one way or another.

Could be the beginning of TSMC going the way of UMC. The struggle with 28/20nm was a sign and the huge delay is going to hurt their business in the long run. Thankfully they developed 16nmFFat the same time as 20nm, but that can easily be negated if both AMD/Nvidia just pass 20nm entirely as TSMC has nothing on the horizon below 16nm close to being ready.
 

ta152h

Distinguished
Apr 1, 2009
1,207
2
19,285
The 14nm process should bring 35 percent less power consumption and have 20 percent increased performance with a 15 percent smaller die area. It's getting more expensive to build chips on newer and smaller process nodes, and one way to reduce the cost of the chips is to reduce their size, even if that means a lower increase in performance.

What horrible writing. Good grief, how could this pass an editor. It's completely unclear, and borderline misleading.

For the first sentence, compared to what????? To the 28nm most companies are on? Nope. What then? Compared to what the node would be like if it were not a FinFet? Terrible, terrible writing.

It's not more expensive to build chips on newer nodes. It's generally cheaper. Again, learn how to write clearly. It's more expensive for the same die area, but less expensive per transistor. Since a direct shrink dramatically lowers the size, smaller lithographies cost less once it gets past the low yield phase. 20nm is one where this holds less true.

That's probably what you mean, but it's written so poorly, no one can figure that out unless they already know it.

First, 14nm is not a meaningful term, and doesn't reflect the actual lithography. It's just a name the company gives the process. They try name it as if it were the actual lithography like it was years ago, to indicate the size reduction, but it's not an absolute term. Intel's numbers are always fudged, a little, so that, for example, their 22nm was really more like 24nm in terms of shrinkage. But, they can call it what they want. The point is, this isn't necessarily better than the 16nm process. It could be, but each company names it what they want.

Keeping in mind Global Foundries licensed the technology, and is calling it, 16nm, you get a pretty good idea of how there is some room to play with the names.

While it's interesting AMD will be using this, it's also important to not read to much into it. Since their next generation of 'big' processors isn't out until 2016, and then almost certainly on GF (which works fine for Samsung, since they can switch relatively easily between the two since the processes are so similar). Carrizo is on 28nm, so there will not be any large processors made at Samsung in 2015. This leaves the smaller markets. Since we know Puma's successor is going to 20nm, and the R9 390 is also 20nm, it's not clear what they would switch so quickly to Samsung's process in 2015. More likely, they will be using the process, but in 2016. Of course, anything is possible, but there aren't too many scenarios where their bigger product lines would switch so quickly, considering AMD has had to cut R&D, and does not in any way want to be on the cutting edge of working with new manufacturing processes, except in limited ways. Rory Read called it "burning cash". So, I'm skeptical we'll see any volume from AMD in 2015 on this process.

Of course, I could be wrong.


 

Thexder

Distinguished
Dec 31, 2007
5
0
18,510
First I would like to point out that the 14nm and 16nm are probably really the same size. The manufacturers play with those numbers all the time since they do not mean much. The 14nm might have a few tweaks the Samsung thinks allows the transistors to be slightly smaller so they call it 14nm when there is probably almost no difference between that and TSMC 16nm. That being said it is interesting to see so many going for the Samsung process. I would guess that like with TSMC AMD will be using Samsung for their GPUs and APUs, but the article does not say and the information may not be available yet.
 

presna

Honorable
May 17, 2012
11
0
10,510
ta152h Dude, think you're barking up the wrong tree here, the article starts of by stating the 'facts' are statements by Samsung's president. So don't think Tom's writer's fault.

Well maybe he could've mentioned that the performance numbers are quoted from Sammy's president....
 

aldaia

Distinguished
Oct 22, 2010
533
18
18,995
I think it's extremely ironic that, while Qualcom, Samsung and Apple are preparing to build their newest smartphone SoCs using 14nm technology, "Intel Tries To Conquer Mobile Market By Investing In Chinese Chip Makers" to build their SoCs using Atoms with 28nm technology (http://www.tomshardware.com/news/intel-atom-spreadtrum-rockchip-soc,27774.html)
Doesn't look like a sound strategy to me.
 


maybe for their APU or ARM based server but for GPU i think AMD most likely stay with TSMC. there are rumor that Tonga will be manufactured by GF but in the end it was made by TSMC as well. and so far Samsung only dealing with making SoC type of chip.
 

Lucian Armasu

Contributing Writer
Sep 10, 2014
1,181
3
5,285
miravelli.ro
For the first sentence, compared to what????? To the 28nm most companies are on? Nope. What then? Compared to what the node would be like if it were not a FinFet? Terrible, terrible writing.

Thank you for your comment. Companies usually compare a new process node with the "previous one", that one being 20nm in this case. It wouldn't make sense to compare to any other existing nodes on the market, since there are usually more than one in use, and would create too much confusion.

It's not more expensive to build chips on newer nodes. It's generally cheaper. Again, learn how to write clearly. It's more expensive for the same die area, but less expensive per transistor. Since a direct shrink dramatically lowers the size, smaller lithographies cost less once it gets past the low yield phase. 20nm is one where this holds less true.


I said it's "more expensive to build chips", not transistors. On newer nodes the transistors would of course become cheaper because they are smaller, but if companies want to build chips of the same die area then it would cost them more on newer process nodes. Therefore companies seem to be choosing to cut the die size/number of transistors in order to make the overall chip less expensive (or rather make it cost around the same amount as it did before).
 
Status
Not open for further replies.