News Intel scraps 18A process for Arrow Lake, goes with 'external nodes' likely TSMC

Mar 12, 2024
10
18
15
Per Intel "
Since releasing the Intel 18A Process Design Kit (PDK) 1.0 in July, we have seen positive response across our ecosystem and are encouraged by what we’re seeing from Intel 18A in the fab. It’s powered on and booting on operating systems, healthy, and yielding well – and we remain on track for launch in 2025.

One of the benefits of our early success on Intel 18A is that it enables us to shift engineering resources from Intel 20A earlier than expected as we near completion of our five-nodes-in-four-years plan. With this decision, the Arrow Lake processor family will be built primarily using external partners and packaged by Intel Foundry.

The journey to Intel 18A has been built on the groundwork laid by Intel 20A.

It enabled us to explore and refine new techniques, materials and transistor architectures that are crucial for advancing Moore's Law. With Intel 20A, we successfully integrated both RibbonFET gate-all-around transistor architecture and PowerVia backside power delivery for the first time, and these learnings have directly informed the first commercial implementation of both technologies in Intel 18A. This points to the iterative nature of semiconductor innovation, and we’re excited to bring these advancements to all Intel Foundry customers.

Focusing resources on Intel 18A also helps us optimize our engineering investments. When we set out to build Intel 20A, we anticipated lessons learned on Intel 20A yield quality would be part of the bridge to Intel 18A. But with current Intel 18A defect density already at D0 <0.40, the economics are right for us to make the transition now."
 

TheSecondPower

Distinguished
Nov 6, 2013
81
76
18,610
Intel 20A and 18A are different minor versions of the same node, nevertheless I think the title, "Intel scraps 18A process for Arrow Lake" implies that Arrow Lake was once destined for the 18A version specifically, which I have never heard from Intel or from rumors.

Edit: The article title was updated to say "20A" instead of "18A".
 
Last edited:
There's only one of two logical conclusions that one can make from what I understand:

1) 20A yields were too low for volume production making external the way to go because TSMC isn't cheap (I'm assuming the compute tiles are going to be N3 like LNL).

2) Intel doesn't want to tie up their limited EUV machines on 20A for the next 3-5 years to meet manufacturing requirements since it's an internal only node.

Problems with 20A would certainly mean bad tidings for the near term and 18A. It might also speak to some teething issues with GAAFET. Without internal information I highly doubt there will be any way to confirm if there are issues.

It's also believable that they'd want to prioritize the node that is open to external customers. The MTL rollout cost them a lot of money and may very well have impacted how quickly Intel 3 capacity rolled out. I hope that this is the driver rather than problems with the nodes as it's the much better of the options I can come up with.
 

TheSecondPower

Distinguished
Nov 6, 2013
81
76
18,610
Per Intel "
[It] enables us to shift engineering resources from Intel 20A earlier than expected as we near completion of our five-nodes-in-four-years plan. With this decision, the Arrow Lake processor family will be built primarily using external partners and packaged by Intel Foundry."
From Intel's announcement, it's not clear whether Arrow Lake on Intel 20A is canceled entirely or just partially. The rumors said that there would be around 3 chips, if I recall correctly one was literally Meteor Lake-U on Intel 3, one was Arrow Lake-H on Intel 20A, and one was Arrow Lake-S on TSMC N3. Which makes a lot of sense to me; I doubt that a new node can reach the high frequency needed for Arrow Lake-S or the low power needed for Arrow Lake-U, and since Lunar Lake exists, it'd be a waste of money to bring budget Arrow Lake-U to an outside foundry or even make it at all. But Arrow Lake-H on brand-new 20A should be an upgrade against Meteor Lake-H on then-brand-new Intel 4.

However if any Arrow Lake product comes out soon on Intel 20A, it'd sound like very good news. But Intel is burying any such news so it's either not true or there's something disappointing about it.
 
Mar 12, 2024
10
18
15
From Intel's announcement, it's not clear whether Arrow Lake on Intel 20A is canceled entirely or just partially. The rumors said that there would be around 3 chips, if I recall correctly one was literally Meteor Lake-U on Intel 3, one was Arrow Lake-H on Intel 20A, and one was Arrow Lake-S on TSMC N3. Which makes a lot of sense to me; I doubt that a new node can reach the high frequency needed for Arrow Lake-S or the low power needed for Arrow Lake-U, and since Lunar Lake exists, it'd be a waste of money to bring budget Arrow Lake-U to an outside foundry or even make it at all. But Arrow Lake-H on brand-new 20A should be an upgrade against Meteor Lake-H on then-brand-new Intel 4.

However if any Arrow Lake product comes out soon on Intel 20A, it'd sound like very good news. But Intel is burying any such news so it's either not true or there's something disappointing about it.
Since 20A and 18A are essentially the same node, ie made in the same factory with the same tools, I suspect they will just move on to 18A if its yielding well, and we will see Panther lake as the first product late next year.
 

TheSecondPower

Distinguished
Nov 6, 2013
81
76
18,610
And why isn't Arrow Lake going to 18A?
Is 18A not proceeding well enough?
Intel 18A is supposed to be "manufacturing ready" in H2 2024 which should mean products available in 2025, but Arrow Lake is a product planned for release in 2024. But if 18A is ahead of schedule, yeah, why not move Arrow Lake to it?
intelroadmap.png

If we assume that 18A is genuinely early, my guess is that shopping seasons play a role. Even a few months ahead of schedule might put Arrow Lake in Q1 2025, which is not exactly a quarter with a lot of sales. Also Intel has post-Arrow Lake designs probably ready and waiting for 18A to be manufactured.
 
  • Like
Reactions: P.Amini

bit_user

Titan
Ambassador
There's only one of two logical conclusions that one can make from what I understand:

1) 20A yields were too low for volume production making external the way to go because TSMC isn't cheap (I'm assuming the compute tiles are going to be N3 like LNL).

2) Intel doesn't want to tie up their limited EUV machines on 20A for the next 3-5 years to meet manufacturing requirements since it's an internal only node.
Yeah, even assuming it's #2, I always thought it was weird for them to have the Intel 4 and 20A, not only just for internal use, but just a single product, at that! I'm not sure if any other products were planned to use 20A, but definitely Intel 4 was exclusive to Meteor Lake. That just seems like a big distraction, when they could be putting all of those resources into optimizing and streamlining the nodes they want external customers to use.

BTW, an option #3 would be if Intel discovered 20A had some potential gremlins that could adversely affect the durability of products made on it. That would've forced them to decide whether to fix the node or scrap it.
 

bit_user

Titan
Ambassador
Intel 18A is supposed to be "manufacturing ready" in H2 2024 which should mean products available in 2025, but Arrow Lake is a product planned for release in 2024. But if 18A is ahead of schedule, yeah, why not move Arrow Lake to it?
High-end chip layout takes time. One possible explanation is that they already have Lions Cove and Skymont cores on TSMC N3B. So, doing a larger compute tile on that node should be pretty quick vs. if they had to start from scratch on 18A.

Since 20A and 18A are essentially the same node, ie made in the same factory with the same tools, I suspect they will just move on to 18A if its yielding well, and we will see Panther lake as the first product late next year.
If they use different libraries, as has been indicated, then you can't just port a chip like that.
 
Mar 12, 2024
10
18
15
High-end chip layout takes time. One possible explanation is that they already have Lions Cove and Skymont cores on TSMC N3B. So, doing a larger compute tile on that node should be pretty quick vs. if they had to start from scratch on 18A.


If they use different libraries, as has been indicated, then you can't just port a chip like that.
I believe Panther lake was designed for 18A, it sounds like 20A will just be a development node.
 

bit_user

Titan
Ambassador
I believe Panther lake was designed for 18A, it sounds like 20A will just be a development node.
I find it interesting that Intel is pivoting Arrow Lake, rather than just cancelling it. I guess that wouldn't go over too well, after cancelling Meteor Lake S.

Again, I think back to the Bartlet Lake rumors and wonder if it was meant as some kind of hedge...
 

watzupken

Reputable
Mar 16, 2020
1,117
609
6,070
I feel Intel’s foundry is going to be running into big problems the rate Intel is “marketing” it. Cancelling the use of their own foundry will raise doubts/ concerns about the quality of the fab. Intel made it sound really easy, let’s do 3nm, than jump to 20A and to 18A each in a year or less. In the end, they did not use Intel 3 and 20A is also vapour ware. Over promise under delivered?
 

bit_user

Titan
Ambassador
In the end, they did not use Intel 3 and 20A is also vapour ware. Over promise under delivered?
Intel 3 is being used for Sierra Forest (their Xeon CPUs with up to 144 E-cores; already launched and shipping) and the P-core based Granite Rapids (launching soon).

20A wasn't vaporware. The first product to use it was Arrow Lake, which is already sampling. So, it's not as though it was late or anything. They simply cancelled it. That's all.
 
I find it interesting that Intel is pivoting Arrow Lake, rather than just cancelling it. I guess that wouldn't go over too well, after cancelling Meteor Lake S.

Again, I think back to the Bartlet Lake rumors and wonder if it was meant as some kind of hedge...
The wording in this announcement is muddy enough that maybe the die used for the K SKUs is on 20A and none of the rest are. Really at this point I'm tired of Intel not being clear about what ARL is or isn't they need to just come out and talk about it.
 
  • Like
Reactions: cyrusfox

cyrusfox

Distinguished
The most concerning aspect of this announcement is the lack of visibility into how the N3b process node will stack up against Intel's own 20A core design(we could have put these two process nodes head to head on a consumer product with near identical design!).

Another troubling possibility is that Intel might have found it more cost-effective to outsource production to TSMC rather than manufacture in-house. Given Intel's current financial constraints, this could be a pragmatic decision, though it’s hard to fully accept(Dark Omen for Intel Foundry).
 
Jun 12, 2024
40
18
35
Damn now even I have a hard time believing in the viability of intel.

Now the have a bunch of old fabs running to replace raptorlake chips making zero profit and the rest will come from over prices tsmc
 

JamesJones44

Reputable
Jan 22, 2021
763
694
5,760
Without details these things are always hard to tell what or why something may have happened. However, cost cutting at least makes some sense given the environment and information we have. The 9xxx series thus far doesn't look like a major improvement gaming wise over the previous gen giving Intel some breathing room there. Assuming Intel didn't completely flub the numbers for Lunar Lake, they can likely scale up the Lion Cove and Skymount core relatively easily/cheaply and use the same TSMC process that has already shown promise for them without having to scale up a node that may not be 100% ready or make sense from a longevity point of view.

It's anyones guess though. I'm just throwing out my 2 cents.