News Intel Foundry Services to Produce 3nm Chips for Major Datacenter Customer

Status
Not open for further replies.

bluvg

Commendable
Jan 15, 2022
29
25
1,560
Intel 7 (10nm Enhanced SuperFin), Intel 4 (7nm with EUV), and Intel 3 (7nm+ with EUV) nodes

Not to be pedantic, but the "nm" label is perhaps only useful as what it was previously called (in which case "formerly called" would help clarify). "nm" as a metric hasn't been a real physical measure of anything for quite some time, but just an extrapolation of what was an actual physical measure in the past.
 

JamesJones44

Reputable
Jan 22, 2021
652
587
5,760
Not to be pedantic, but the "nm" label is perhaps only useful as what it was previously called (in which case "formerly called" would help clarify). "nm" as a metric hasn't been a real physical measure of anything for quite some time, but just an extrapolation of what was an actual physical measure in the past.

Adding on to your point, some sites have started putting the transistor density in parenthesis on a lot of these nodes, something that I hope catches on. It's still not the greatest metric but IMO it's a better gauge of what these companies are actually doing to improve their nodes than NM is these days.
 

DavidLejdar

Prominent
Sep 11, 2022
243
141
760
Yeah, the "nm" may not be precise about what the physical nm is. But it is still quite an indication about which node-generation the talk is about. I.e. "10 nm", there wasn't anyone who had it before 2016 (nor smaller or denser than that), "7 nm process" was 2017/2018 and onwards, and so on. And in any case, the "International Technology Roadmap for Semiconductors" still goes by such naming.

Nevertheless, if one wants to talk i.e. about metal–oxide–semiconductor field-effect transistors, or e.g. about fin field-effect transistors in particular, then cool. I just don't think that the article was intended as an academical fine-print piece, the readability of which would get prolonged a lot if the author would add all the little details to the naming as is.
 

JamesJones44

Reputable
Jan 22, 2021
652
587
5,760
Yeah, the "nm" may not be precise about what the physical nm is. But it is still quite an indication about which node-generation the talk is about. I.e. "10 nm", there wasn't anyone who had it before 2016 (nor smaller or denser than that), "7 nm process" was 2017/2018 and onwards, and so on. And in any case, the "International Technology Roadmap for Semiconductors" still goes by such naming.

Nevertheless, if one wants to talk i.e. about metal–oxide–semiconductor field-effect transistors, or e.g. about fin field-effect transistors in particular, then cool. I just don't think that the article was intended as an academical fine-print piece, the readability of which would get prolonged a lot if the author would add all the little details to the naming as is.

The issue is "nm" doesn't really indicate anything about their nodes these days. Samsung has 4 nodes that are the same with only refinements, yet they call them 7LPP, 6LPP, 5LPE and 4LPE. These nodes are closer to Intel's +++ than an actual new die shrink processes, but no one gives them guff about it because at glance the seem smaller. TSMC has done this as well, there 4n node is really an enchanted 5n node (they have others but this is the most recent example). So though they call these things 4 nm, they actually aren't and thus you can't compare them based on that stat alone. Transistor density on the other hand can be compared company to company.
 
  • Like
Reactions: bluvg

bluvg

Commendable
Jan 15, 2022
29
25
1,560
The issue is "nm" doesn't really indicate anything about their nodes these days. Samsung has 4 nodes that are the same with only refinements, yet they call them 7LPP, 6LPP, 5LPE and 4LPE. These nodes are closer to Intel's +++ than an actual new die shrink processes, but no one gives them guff about it because at glance the seem smaller. TSMC has done this as well, there 4n node is really an enchanted 5n node (they have others but this is the most recent example). So though they call these things 4 nm, they actually aren't and thus you can't compare them based on that stat alone. Transistor density on the other hand can be compared company to company.
Exactly. I get your point @DavidLejdar about bogging down the article, but I think that could be easily avoided while clarifying by simply stating "formerly called" or something along those lines.
 
  • Like
Reactions: bit_user

shady28

Distinguished
Jan 29, 2007
428
298
19,090
Yeah, the "nm" may not be precise about what the physical nm is. But it is still quite an indication about which node-generation the talk is about. I.e. "10 nm", there wasn't anyone who had it before 2016 (nor smaller or denser than that), "7 nm process" was 2017/2018 and onwards, and so on. And in any case, the "International Technology Roadmap for Semiconductors" still goes by such naming.

Nevertheless, if one wants to talk i.e. about metal–oxide–semiconductor field-effect transistors, or e.g. about fin field-effect transistors in particular, then cool. I just don't think that the article was intended as an academical fine-print piece, the readability of which would get prolonged a lot if the author would add all the little details to the naming as is.


Actually no, it isn't.

To illustrate, TSMC's "10nm" process has a density of 50MTr/mm2 (50 million transistors per square millimeter).
Intel 7 "formerly Intel 10nm" has a density of 92-102MTr/mm2. TWICE what TSMCs 10nm was.
TSMC N7 which everyone "thinks" is 7nm, has a density of 92MTr/mm2 - 97MTr/mm2. LESS than Intel 7 "Formerly 10nm"

So no, you can't tell anything about them from their marketing names.

With everyone and their dog saying TSMC was on 7nm when they were actually on N7 (10nm to Intel's normal standard) it was becoming a marketing coup for TSMC. That entire false narrative has undoubtedly cost Intel billions in revenue and mind-share.
 
  • Like
Reactions: bluvg

bit_user

Polypheme
Ambassador
Not to be pedantic, but the "nm" label is perhaps only useful as what it was previously called (in which case "formerly called" would help clarify). "nm" as a metric hasn't been a real physical measure of anything for quite some time, but just an extrapolation of what was an actual physical measure in the past.
In this case, it's fair to relate these node names to what Intel previously called them. Otherwise, I agree.

With everyone and their dog saying TSMC was on 7nm when they were actually on N7 (10nm to Intel's normal standard) it was becoming a marketing coup for TSMC. That entire false narrative has undoubtedly cost Intel billions in revenue and mind-share.
No, I don't believe that. Nobody buys one CPU vs. another because it's called N7 or 10 nm. Of course, Intel was at a marked disadvantage with their 14 nm CPUs, but that was due to actual performance deficiencies rather than merely perceived.
 
Last edited:

bit_user

Polypheme
Ambassador
Getting this discussion back on topic, what do we expect will happen? Will Tower be forced to spin out or sell off its Chinese fabs? Or is China looking to extract more than that?

IIRC, China held up WDC's acquisition of HGST for the better part of a decade, so this could certainly drag on.
 

shady28

Distinguished
Jan 29, 2007
428
298
19,090
No, I don't believe that. Nobody buys one CPU vs. another because it's called N7 or 10 nm. Of course, Intel was at a marked disadvantage with their 14 nm CPUs, but that was due to actual performance deficiencies rather than merely perceived.

You must not be looking at the same forums or reviews 90% of folks look at then. I wonder how often this author says TSMC N5 ("actually 7nm density") as opposed to when they say "Intel 7 (10nm SuperFin)"? It's either ignorance, or bias, take your pick - either way it's a misleading, false narrative.

You can bet plenty of business has been lost due to this type of behavior.
 
  • Like
Reactions: bluvg

bit_user

Polypheme
Ambassador
You must not be looking at the same forums or reviews 90% of folks look at then. I wonder how often this author says TSMC N5 ("actually 7nm density") as opposed to when they say "Intel 7 (10nm SuperFin)"? It's either ignorance, or bias, take your pick - either way it's a misleading, false narrative.

You can bet plenty of business has been lost due to this type of behavior.
People who even read reviews are buying based on the benchmarks. People who don't read reviews be like "what's a nm?" and just buy Intel because they've heard of it before.

Only fanboys argue about nm's. But they've already picked a side, by then.
 
  • Like
Reactions: TJ Hooker
Status
Not open for further replies.