Intel's Future Chips: News, Rumours & Reviews

Page 109 - Seeking answers? Join the Tom's Hardware community: where nearly two million members share solutions and discuss the latest tech.
Status
Not open for further replies.
The intel i7 7800X is now only $309.. 😉 and compared with the Ryzen 7($299) offers better value and is faster. Is easy to tell witch technology is better when a 6 core beats an 8 core in overall performance in both single and multi threaded applications (without been overclocked)

i7 7800X single core score: 2250, multi core score: 14750

Ryzen 7 single core score 1771, multi core score 13756

Compare CPU here https://www.cpubenchmark.net/compare.php?cmp
 
Intel’s 10 nm Technology: Delivering the Highest Logic Transistor
Density in the Industry Through the Use of Hyper Scaling..

Intel’s 10 nm process utilizes third generation FinFET technology and is estimated to be a full
generation ahead of other “10 nm” technologies. The use of hyper scaling on Intel’s 10 nm technology
extracts the full value of multi-patterning schemes and allows Intel to continue the benefits of Moore’s
Law economics by delivering transistors that are smaller and have lower cost-per-transistor. Intel’s 10
nm process technology will be used to fabricate the full range of Intel products serving the client, server
and other market segments.
The minimum gate pitch of Intel’s 10 nm process shrinks from 70 nm to 54 nm and the minimum metal
pitch shrinks from 52 nm to 36 nm. These smaller dimensions enable a logic transistor density of 100.8
mega transistors per mm2, which is 2.7x higher than Intel’s previous 14 nm technology and is
approximately 2x higher than other industry 10 nm technologies.
Intel’s 10 nm process delivers up to 25 percent better performance and 45 percent lower power than
the previous 14 nm technology. Intel 10nm also has a significant performance lead over other industry
“10nm” technologies. A new, enhanced version of the 10 nm process, called 10++, can boost the
performance an additional 15 percent or reduce power by 30 percent.
Intel Custom Foundry offers the Intel 10 nm process to customers through two design platforms: 10GP
(general purpose) and 10HPM (high performance mobile). These platforms include broad siliconvalidated
IP portfolios, ARM Libraries and POP Kits, and fully integrated turnkey foundry services and
support.
https://newsroom.intel.com/newsroom/wp-content/uploads/sites/11/2017/09/10-nm-icf-fact-sheet.pdf
 


A couple things to note: Most reviewers do not use cpubenchmark

http://cpu.userbenchmark.com/Compare/Intel-Core-i7-7800X-vs-AMD-Ryzen-7-1700X/m304816vs3915
has some interesting comparisons to make. If on a limited budget you can put a 1700X on a $50-$100 motherboard using the rest on better components.
AWs7toD.png


 
IEDM 1017: Intel’s 10nm Platform Process
By Dick James



IEDM this year was its usual mixture of academic exotica and industrial pragmatica (to use a very broad-brush description), but the committee chose to keep us all waiting until the Wednesday morning before we got to the CMOS platform papers. Of course, the talk we were all anticipating was Intel’s Chris Auth on “A 10nm High Performance and Low-Power CMOS Technology Featuring 3rd Generation FinFET Transistors, Self-Aligned Quad Patterning, Contact over Active Gate and Cobalt Local Interconnects”.

Deliberate or not, Intel has been teasing us with release dates for their 10-nm products, and as yet there have not been any out in the market for the analysts to get their hands on and expose the secrets. TSMC and Samsung we have seen, but not Intel (and arguably, they are closer to 14-nm technology). Needless to say, the room was full, and Chris did the usual Intel preamble about being ahead of the 2x shrink trend, and achieving 100M transistors/mm2.
Intel-10-1.png

The claim is that density increases by a factor of 2.7 from the 14nm generation, using the metric announced by Mark Bohr at the Technology and Manufacturing Day (TMD) earlier this year:
Intel-10-2.png

The paper states that this was achieved by “use of SAQP w/193nm immersion lithography, improved transistor matching to enable fewer fins in the standard cell library and novel process features to enable tighter layout.”

The table below shows the design rules and shrink from the 14-nm process:
Intel-10-3.png

Any pitch 40 nm or below needs quad-patterning (or LELELE), so the fins, M0 and M1 use SAQP. Compared with SADP, Intel’s SAQP needs four additional steps, one deposition on the sidewall spacers from the original mandrel, and three etch steps. In addition to the feature shrinks, there are technology changes in the standard cell layout. The dummy gates at the cell boundaries have gone, replaced by a single gate spacing; and the gate contact is now over the active gate, ending the need for isolation space to fit in the contact.

The 14-nm process had a dummy gate at the edge of each cell, on the end of adjacent fins, similar to this image of a 22-nm device;
Intel-10-4.png

The 10-nm cell uses a dummy gate spacing between fin ends, which saves a gate pitch when packing two cells together, a claimed 20% cell area saving.
Intel-10-5.png

In actual fact there is no dummy gate in the finished product, just the fin etched where a single dummy gate would be. This was shown in the presentation, but it is not in the paper, but Samsung did something very similar in their 10-nm offering:
Intel-10-6_Samsung-10-SDG.png

In fact, a dummy polySi gate is used, allowing source/drain formation without risking the fin edge; but for these particular gates the polySi removal etch goes a bit further, and etches the fin to separate the cells.

The second layout change is to shift the gate contact into the active transistor area, over the functional part of the gate (see below).
Intel-10-7.png

Such tight alignment with the source/drain (diffusion) contacts requires the development of self-aligned contacts to the gate, and modification of the self-aligned diffusion contacts that were already in use at 14-nm and 22-nm.
Intel-10-89.png

To do this, two etch-stop materials and two selective etches are used. After gate formation it is etched back and the cavity is filled with silicon nitride, as in earlier generations; the contact is then put in and also etched back, and the cavity is filled with silicon carbide. Then there is a selective etch to open the gate contact, which does not touch the SiC in the contact cavity, and a second selective etch removes the SiC from the contact cavity, but does not affect the gate contact periphery. Clearly this sequence is reliant on excellent etch selectivity between the different materials.

There are other innovations in the contact stack – the contacts themselves are cobalt, giving >60% line resistance reduction, and there is a conformal titanium layer wrapped around the source drain epi, as well as a thin nickel silicide layer on the PMOS epi. This is claimed to give ~1.5x contact resistance reduction.

The fins are SAQP-defined with a 34-nm pitch, 7 nm width (at ½-height), and 46 nm height. Intel appeared to have backed off on the 53-nm fin height that they announced back in March. 46 nm is still an increase from the 42 nm of the 14-nm process, just not as ambitious; if memory is accurate, that is the same as the 14+ fin height. I guess the taller fin could be looking forward to the 10+ or 10++ generation. In the Q&A we were told that the fin height is tunable with a range of ~10 nm, and 46 nm is at “the low end of the mid-range”.

In fact, if you use the fin pitch as calibration, the fin height in Intel’s image is ~52 nm, and close examination reveals that it is the same image as that shown in Kaizad Mistry’s TMD talk last March.
Intel-10-12m.png

And if we compare this pic with the 14-nm device, it appears that the solid-source punch-stop diffusions introduced at 14-nm are present, since we can see the seal layer(s).
Intel-10-10.png

This allows the fin to be un-doped in the channel, with options for four or six Vts (low, standard and optional high Vts) with differing work-function metals. Source/drain epis are in-situ doped and provide strain enhancement, though we are not told if that is N- or P-MOS or both, nor is SiGe mentioned, though I would assume it is still used for PMOS stress. NMOS drive is also enhanced by interlayer dielectric stress, giving a ~10% improvement (from my notes – the paper says 5%).

With a smaller fin pitch the implant angle needed for doping is also shrinking; I measured it as less than 30o, compared with the 52o and 41o of the 22- and 14-nm processes, but I am told that if the implant has a twist (i.e. angled with respect to the fin orientation), then it is till feasible to get implants into the right location.

Additionally, the k-value of the sidewall spacers has been lowered, to reduce the parasitic contact-gate capacitance by 10%, and my notes also say that the gate fill has been changed to cobalt.

With a 46-nm fin height the gate width should be ~97 nm, compared with the ~85 nm of the previous generation (or the same as the 14+). If the 53-nm fin height is used, gate width is likely ~110 nm. Minimum gate length was stated to be 18 nm.

All of this transistor engineering leads to a NMOS Idsat of 1.78 mA/µm and Idlin of 0.475 mA/µm at 0.7 V and 10 nA/µm, increases of 71% and 100% compared to 14-nm FINFET transistors, for minimum Lg devices. Similarly, PMOS shows drive current gains of 35% Idsat and 55% Idlin. Steep subthreshold slopes (~70 mV/dec.) and very low DIBL (~70 mV/V) are also found.
Intel-10-11-ann.png

The middle- and back-end stack has thirteen metal layers (including M0), with cobalt used in M0 and M1 to replace copper. This gives a 2x resistance reduction, and 5 – 10x electromigration improvement. Self-aligned double patterning (SADP) is used at Metal 2 – Metal 5, and a cobalt cap (no liner, as in TSMC) is also used on M2 – M5 to improve electromigration. Low-k dielectrics are used on eleven layers out of the thirteen, and in the Q&A it was noted that it is the same low-k as in the 14-nm process.

The SRAM cells are scaled by a factor of ~0.6, so that the low-voltage 1:2:1 (fins in Pull-Up😛ass-Gate😛ull-Down transistors) cell goes from ~0.059 µm2 to ~0.0367 µm2, and the high-density 1:1:1 cell shrinks from ~0.050 µm2 to ~0.0312 µm2. (The TSMC and GF/IBM/Samsung 7-nm cells announced at IEDM16, presumably 1:1:1 cells, were 0.027 µm2.) There is also a high performance 0.0441 µm2 cell. Ring oscillator performance at 0.7 V was 20% better than the 14-nm device.

The cell height is 272 nm, so with a 34 nm fin pitch, we have eight fin spacings per cell; but we tend to lose two fins in the centre to allow for well boundaries, and one each at top and bottom under the Vdd/Vss lines, implying 2x two-fin transistors in the minimum standard cell. That agrees well with the comments in the paper about “aggressive reduction in fin usage, improving transistor density.”
Back in March we were told that the 10-nm process shrinks beyond the usual 50% to 37% of the 14nm technology:
Intel-10-13.png

And that this actually brings them back on to a two-year cadence from the 45-nm node, assuming high-volume production as of the second half of this year.

It’s a bit close to the end of the year for that to happen, but if we see product in the New Year they won’t be too far off – we look forward to it!

I had hoped to fit in some commentary about the GLOBALFOUNDRIES 7nm paper given in the same session, but in the interest of brevity I will have to make a separate blog, maybe in the New Year.
Intel-10-14.png
 
And that this actually brings them back on to a two-year cadence from the 45-nm node, assuming high-volume production as of the second half of this year.

It’s a bit close to the end of the year for that to happen, but if we see product in the New Year they won’t be too far off – we look forward to it

This will be a big change from Intel's previous statements about it being too hard, and having to extend nodes out 4 years or more!
 


Interestingly:

2250 * 6 = 13500 expected, 14750 actual
1771 * 8 = 14168 expected, 13756 actual

Guess HTT is picking up some of the slack (to the tune of 8.5%) in MT workloads on the Intel side. Ryzen underperforms a tad (3%), but that's likely just standard MT performance loss more then anything. Assuming similar performance loss by Intel, HTT is giving a ~5% performance boost in MT workloads in this benchmark.

But yeah, it's scaling. Adding stronger cores will eventually overtake the gains of adding more weaker ones, while giving more consistent performance to boot. As long as Intel remains ~20% or so ahead in single-core performance, they'll win in MT workloads at similar core counts.
 


The comparison is vs 8 cores, so.... Ryzen winner chicken dinner. But a better comparison for performance dollar for dollar is comparing it too the 1700X, but like gamerk316 says, cpubenchmark.net doesn't know how to do math.... I guess that's why reviewers don't use that site.
1Cinebench.png

87101.png

87100.png


 


Most reviewers are full of it...I mostly use cpubenchmark and userbenchmark,,I know Ryzen 7 has a higher market share because it was cheaper(that's why I bought one for my wife)but now the core i7 7800X beats Ryzen in price vs performance so soon that gap may change. but x299 is not for everyone(always been intel's exclusive for the high end desktop), is not even a direct competitor of AM4. Anyway I don't want a cheap motherboard or a cheap rig, and not everyone wants a cheap motherboard. X299 has more features than am4. My pc case has glass panels with a liquid cooling loop and RGB fans. I don't want my motherboard to look like a black piece of cardboard plus I need RGB support and water pump support, be good at overclocking, I like on board wifi, good gaming audio and software with a good headphone amplifier and great ASUS bios for overclocking, intel optane memory support, quad channel memory, that being said am4 is not for me

Got my i7 7800X rock solid at 5.0GHz and is doing circles around my wife's Ryzen 7 gaming rig at rendering, gaming and at everything else. 😉, single core speed is not even comparable.
People don't know what they are talking about most programs and games will not benefit from 16 cores all those synthetic benchmarks are useless in real world desktop applications , thats why im not using a 36 core xeon. Rather stick with strong IPC and high speed per core.. I rather pay a bit more and have a better system..
Same that when I buy a phone I rather pay more and get a Samsung galaxy or pay more and get a faster better looking sport car or pay more and get a better looking house. That's what we do, we always want to have the best we could get.
Comparing Intel vs AMD. (intel could be more expensive)They are like a house and Both could have 4 bedrooms but in the master bedroom intel has a jacuzzi with a beer and wine cooler next to it
 


Cinebench is a cherry picked workload that favors RyZen muarch, but doesn't represent real-life behavior.
 

1tcPASn.png

Actually, that's not clear that Charlie is confirming what was said about 7nm, rather confirming what he said about 10nm.
Charlie has been saying there are significant issues with Intel's 10nm for over a year now, and it was more than just a couple things!
 


But having yield issues is part of the ramp up in capacity. If they've discovered their silicon has problems *after* proper ramp up, then that is a whole 'nother ball game; a more serious one. That is what I want to know. If they don't disclose the problems and just start selling them, I don't want another infamous nVidia soldering problem or the CPUs melting off under normal usage. That is what I want to know in detail.

Cheers!
 
Interesting.
In september there were rumurs published everywhere pointing that intel 10 nm was delayed to late 2018. Those rumours where dismissed by intel.
During last couple weeks I've heard rumours from 2 independent sources that Intel is having issues with 10nm. They point to late 2018 or even early 2019 for 10nm products available in volume.
This tweet posted above is third independent source.

Certainly there is not much time left for a 2H 2017 launch as intel promised. Other than a paper launch to appease investors with null availability of products, of course.
 
What is the state of Intel’s 10nm process?
Analysis: A long look at what was, is, and probably will be
Dec 20, 2017 by Charlie Demerjian


Intel seems to be trying to hide the state of it’s 10nm process from the financial community. SemiAccurate feels that if they knew what was really going on, it would lead to some very uncomfortable questions from analysts.

State of Play – 10nm and Fading:

From the time we exclusively told you about 10nm Cannon Lake’s return from the fab, it was clear something was off. To say yields were bad was understating things to a degree that even the classic British humorists would not dare to delve. Normally from tapeout to product on the shelves, Intel takes ~12 months for server SKUs, less for consumer. According to SemiAccurate moles, it has been ~16 months and counting.

Intel is insistent nothing is wrong but if you look at their recent Manufacturing Day messaging, one thing stood out. That thing is 20+ years of stating process progress was overturned with a new way of measuring progress that said that the 2+ year slip, at that time, for the 10nm process was not actually a problem, it was a technological breakthrough instead. SemiAccurate’s story above took so long to write after Manufacturing day because we had to stop laughing long enough for our eyes to come back into focus.

Ask yourself this, do companies have a 20+ year track record of technical measurements suddenly change things? Does it signal anything to you if they do so when things appear to be going horribly wrong, product delayed 2+ years and so on? Do you find comfort in this new technical measurement showing that instead of the hard data showing things well off the rails which is no longer disclosed for some reason, instead it shows a major technological leap forward? Even if if completely contradicts the basic foundation of the company’s financial basis, essentially that shrinks lower cost and add performance? See why we were laughing so hard?

Track Records Like Clockwork:

If you recall the first Intel 32nm parts, Arrandale (Westmere), were released in January of 2010. As per the Tick-Tock architecture plan there should have been a new architecture in January of 2011 and a shrink of that architecture in January of 2012. Sandy Bridge, the 32nm new architecture came out on time, as did the Ivy Bridge shrink. All is good so far.

Haswell was the new architecture on 22nm and, well, it wasn’t exactly on time, ~6 months late with a June 2013 release. We won’t get into the weeds discussing the long tails of older processes but the short version is that 22nm yields were still so low at this point that Intel was heavily pushing 32nm parts to OEMs and APAC countries to keep margins up. The normal swift cutover of sales from an old process to a new wasn’t publicly messaged this time around and Intel hasn’t talked about it since. For some reason SemiAccurate does not find this curious.

If you go to China and look at what the white box vendors sell, you will see they are still doing quite a brisk business in Haswell (22nm) CPUs, new ones. Not sure what this implies since it contradicts the official messaging about how Intel makes money, but feel free to do the research yourself. Officially those chips are vastly more expensive to make than the 14nm equivalents but they must be coming from somewhere, right? And who knows why Intel would do this in light of the official cost structure messaging.

The shrink to 14nm was heralded by Broadwell which officially came out in September of 2014, again an ~15 month release or another ~3 month delay. Intel claimed, correctly, that 14nm shipped for revenue in 2014 as promised. If you were cynical you would point out two things. First Intel shipped only the lowest volume, 2C GT1/2, lowest power parts in quantities that meant no one could realistically make products with them. Secondly you might consider that one SemiAccurate mole pointed out that Intel management pays bonuses based on when certain products and processes ship. 14nm shipped ‘on time’ by that metric, but we aren’t that cynical.

The usable 14nm parts shipped in January of 2015 or ~18 months after Broadwell actually came out. If you take the first September 2014 date, the shift from 22nm to 14nm took 2.25 years, 2.5 years if you take the real date into account. In any case it was a slip, Tick-Tock was a year off by this point and slipping. If you don’t think so, look at Skylake, the 14nm new architecture, it shipped in September of 2015 or ~9 months after Broadwell. Was it early or was it on time and Broadwell was late? Your call.

The Rails Are Over There:

Now if you look at Intel roadmaps of yore, the cadence should have gone 32nm (Westmere/Arrandale) in 2010, Sandy Bridge in 2011, Ivy Brige in 2012, Haswell in 2013, Broadwell in 2014, Sky Lake in 2015, Cannon Lake (10nm) in January 2016, Icelake (10nm) in January of 2017, and Tigerlake/Firelake (7nm) at CES in about 2 weeks aka January 2018. Instead there were the slips described above and Cannon, due ~2 years ago, isn’t out. Instead we have multiple “new architectures” that seem to pop up on the Intel roadmaps as soon as OEMs need to start production on the 10nm parts that were previously there.

The first of these was Kaby Lake which we exclusively told you popped up in May of 2015. It was a rename with a minor uncore change or two which is now a ‘new architecture’ and ‘new generation of Core’ in Intel parlance. 10nm Cannon was pushed out a year. When it came time for Cannon to ship after Kaby, yes you guessed it, Coffee Lake popped up on the roadmaps. Still 14nm.

That was a year ago and Cannon on 10nm was definitely going to ship in late 2017 or early 2018. Which meant, wait for it, when that time rolled around we get… 14nm Whiskey Lake, another ‘new architecture’ and ‘new generation of Core’! Be still my beating heart. Rumors about a 14nm part after Whiskey are making the rounds now too.

One aside to think about, Intel’s official claims versus reality. Officially these 14nm products are on 14+, 14++, and 14+++ processes which are, again officially, big steps forward. SemiAccurate went into great detail about why this wasn’t true earlier, these are just the standard mid-life PDK updates that bring minor benefits, mostly from a relayout of the chip, not the process. It was about this time that Intel changed policy and refused to give out transistor counts and die sizes, even on released products. Why? What do you think that data would show about Skylake, Kaby Lake, Coffee Lake, and soon Whiskey Lake? Do you think it would show massive area gains that the company claims the +/++/+++ processes bring?

All isn’t lost however, 10nm is now set for late 2018, officially, and that is where things really begin. Subscribers with weak hearts please stop reading here, if you think the 2+ year delay on 10nm is bad or believe Intel’s Hyperscaling distractions, this next bit won’t be of much comfort.

Note: The following is for professional and student level subscribers.

Disclosures: Charlie Demerjian and Stone Arch Networking Services, Inc. have no consulting relationships, investment relationships, or hold any investment positions with any of the companies mentioned in this report.
 
*gasp* as we push the limits of circuit design, physics becomes a larger and larger problem and it takes longer and longer to perfect the process. Color me shocked!

Seriously, this is expected. I still feel 7nm is going to be the last *commercial* die shrink; I can't imagine 4nm is going to be financially viable when all is said and done. You're running against physics at this point.
 

Everyone knows that Intel 10nm is delayed. Intel had problems with 10nm and had to move engineers from the 14nm node, which then also got some delays. The delay of the 10nm node forced Intel to abandon the classic tic-toc and introduce the tic toc optimization 2nd optimization model, wiuth Kabylake and CoffeeLake.

All this is well-known. What Charlie forgets to say is that every foundry is having problems. Charlie forgets to mention how Glofo promised 14nm for early 2014 and 10nm for late 2015.

glofo-roadmap.png


Then the development of both nodes was a disaster and Glofo canceled both and licensed Samsung 14LPP, which is not a 14nm node either (but a 20nm+ node). Latter Glofo developed a 10LP node which was canceled as well.

Funny that Charlie forgets to mention all this. He also forgets how IBM foundry has had problems with 14nm. Only late this year IBM started shipping 14nm processors. IBM has been locked on 22nm all this recent time.

So every foundry is having problems. And as Gamerk mentions this is going only to worse in future. The smallest the node the highest the difficulty.
 
Intel silently launches Knights Mill
David Schor
December 18, 2017

Earlier today Intel quietly launched three new Xeon Phi SKUs based on the Knights Mill microarchitecture.
As you might imagine the system architecture is almost identical. What has changed is pipeline implementation:
Those new operations are supported through the introduction of three new AVX-512 extensions:
AVX5124FMAPS – Instructions add vector instructions for deep learning on floating-point single precision
AVX5124VNNIW – Instructions add vector instructions for deep learning on enhanced word variable precision
AVX512VPOPCNTDQ – Instructions add double and quad word population count instructions.
It’s interesting to note that Intel has no plans on actually integrating the first two extensions into their mainstream processors. Only the VPOPCNTDQ will make it into future Ice Lake server parts.
 


I'll ask the artist about the 0.0499 and 0.0588 LV for the 14nm . I don't see any other errors, but M1P = 36nm?
20880d1513792840-intel-interconnect-stack-revised.jpg

https://www.semiwiki.com/forum/content/7191-iedm-2017-intel-versus-globalfoundries-leading-edge.html
5Vpy5b2.png

https://newsroom.intel.com/newsroom/wp-content/uploads/sites/11/2017/03/Kaizad-Mistry-2017-Manufacturing.pdf
 
Status
Not open for further replies.